職業訓練32日目 習得度確認テスト(PLDを用いたデジタル回路設計作業)

課題

2ビットジョンソンカウンタの設計・製作

仕様
  • スイッチを押すとカウントされる
  • クロック入力は16MHz
  • 出力は7セグメントLED
状態遷移図

やっとお絵描きできた!
でも、ちょっと大き過ぎた…。


真理値表
  • カウンター回路
Q1 Q0 SW Q1' Q2'
0 0 0 0 0
0 0 1 0 1
0 1 0 0 1
0 1 1 1 1
1 1 0 1 1
1 1 1 1 0
1 0 0 1 0
1 0 1 0 0

 

Q1 Q2 a b c d e f g
0 0 1 1 1 1 1 1 0
0 1 0 1 1 0 0 0 0
1 1 1 1 0 1 1 0 1
1 0 1 1 1 1 0 0 1

 

カルノー
  • カウンター回路
    • Q1'
Q1\Q0 SW 00 01 11 10
0      
1  

→Q1'= Q1 !SW + Q0 SW

    • Q2'
Q1\Q0 SW 00 01 11 10
0  
1      

→Q2'= !Q1 SW + Q0 !SW
 

・a

Q1\Q0 0 1
0  
1

→a = !Q0 + Q1
・b

Q1\Q0 0 1
0
1

→b = 1
・c

Q1\Q0 0 1
0
1  

→c = !Q1 + !Q0
・d

Q1\Q0 0 1
0  
1

→d = !Q0 + Q1
・e

Q1\Q0 0 1
0  
1  

→e = !Q1 !Q0 + Q1 Q0
・f

Q1\Q0 0 1
0  
1    

→f = !Q1 !Q0
・g

Q1\Q0 0 1
0    
1

→g = Q1
 

回路図

この課題はVHDL入力ではなく、
回路図入力だったので、回路図書いてみました。
MacのExcel2008で書いたんですが、
NOTの白丸が表現できなかったり、
ORのオートシェイプが無かったりであんまりキレイじゃないけど、
かなりがんばって書きました…orz